diff --git a/unoptimized_lr/simple_lr_stack.py b/unoptimized_lr/simple_lr_stack.py index 46f2fea0d9c6e320a496f06ff01c3cceeab0ea62..9b1eab548b83656098fa808814d05b42aa6f15f1 100644 --- a/unoptimized_lr/simple_lr_stack.py +++ b/unoptimized_lr/simple_lr_stack.py @@ -177,15 +177,6 @@ class ParseStack(Elaboratable): m.d.comb += self.bus.internal_fault.eq(1) m.next = "FAULTED" - - - - - - - - - return m @@ -208,33 +199,31 @@ class DummyPlug(Elaboratable): m.d.comb += m.submodules.stack.bus.data_in.eq(42) - # with m.If(counter==2): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.PUSH) - # m.d.comb += m.submodules.stack.strobe.eq(1) - # m.d.comb += m.submodules.stack.in_data_valid.eq(1) - # m.d.comb += m.submodules.stack.in_data_port.eq(43) + with m.If(counter==2): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.PUSH) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) + m.d.comb += m.submodules.stack.bus.data_in.eq(43) - # with m.If(counter==3): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.PUSH) - # m.d.comb += m.submodules.stack.strobe.eq(1) - # m.d.comb += m.submodules.stack.in_data_valid.eq(1) - # m.d.comb += m.submodules.stack.in_data_port.eq(44) + with m.If(counter==3): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.PUSH) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) + m.d.comb += m.submodules.stack.bus.data_in.eq(44) - # with m.If(counter==4): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.POP) - # m.d.comb += m.submodules.stack.strobe.eq(1) + with m.If(counter==4): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.POP) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) - # with m.If(counter==5): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.POP) - # m.d.comb += m.submodules.stack.strobe.eq(1) + with m.If(counter==5): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.POP) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) - # with m.If(counter==7): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.POP) - # m.d.comb += m.submodules.stack.strobe.eq(1) - # with m.If(counter==9): - # m.d.comb += m.submodules.stack.command_port.eq(ParseStack.POP) - # m.d.comb += m.submodules.stack.strobe.eq(1) + with m.If(counter==7): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.POP) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) + with m.If(counter==9): + m.d.comb += m.submodules.stack.bus.command_in.eq(ParseStack.POP) + m.d.comb += m.submodules.stack.bus.valid_in.eq(1) return m